Task-based Keywords for Efficient Data Extraction

Get Complete Project Material File(s) Now! »

System-on-Chip Design Flow

As mentioned in the previous chapter, technology scaling brings not only attractive advantages but also significant issues and challenges. Thus, many attempts were made to address them from different angles. For system designers, the most crucial challenge is how to rapidly and reliably design the complex system which meets the system requirements. For this purpose, there are several major approaches: custom design, Field Programmable Gate Array (FPGA), standard-cell based design and platform/structured design [24]. Among them, the cell-based design technique is widely adopted to reduce the design cycle as well as to guarantee the functionality and performance of the complex system although the full custom design usually provides a high-performance system than the cell-based design [24], [25], [26], [27], [28], [29], [116], [117]. The richness or optimization of cells in the library may have a great influence on the improvement of the performance of system, for example, in terms of area, delay, and power [60], [61]. Hence, it helps to reduce the performance gap. For instance, Hashimoto et al. [30] demonstrated that a rich library including various driving strength cells improved circuit performance close to transistor-level optimized circuits.
The cell library now plays a central role in SoC design. Various library categories are required, for example, standard-cell library and macro cells such as I/Os and memories. Like these cell libraries, the macro-cell devoted to a specific function, also known as IP has recently become very important. In other words, the library offers a set of cells of the same category, whereas IP deals with only one block such as Pulse-Locked Loop (PLL). They have the same goal: to provide pre-designed cells for system design. As shown in Figure 2.1, the library cells and IPs account for a large part of the recent SoC up to almost 75% [82].

Existing Automatic System for Library Development

The design automation is an inevitable trend in the SoC design due to the increased complexity of systems [64], [65], [66]. Likewise, it is also indispensable for library development [67] because it is almost impossible to manually perform all related activities. Therefore, an automatic system is needed to facilitate the library development execution as well as to reduce its development time. Indeed, many efforts were made to develop this kind of system in industry but only some of them were presented in literature [68], [69] because all know-how relevant to the library development is related to the competitiveness of the company. In addition, several academic researches were introduced in literature [70], [71], [72], [73] even if there were some limitations to entirely deal with the issues concerning library development due to the restricted accessibility of information. For instance, Onodera [70] developed an automatic generation system of process-portable library named P2Lib which represents the fundamental process as shown in Figure 2.9. This system allows library designers to generate process-portable libraries from a core library containing process-independent information like functional and structural information and process-dependent information. Specifically, the timing/power analyzer generates timing and power information of each cell from process-independent and process-dependent information and then produces an intermediate library containing them. From the intermediate library, the format converter produces tool-specific library views. In addition, two other tools create data sheets and physical library views. Finally, the proposed automatic system produces a complete set of libraries.

System Requirements Specification in SCR

In the late 1970s, Software Cost Reduction (SCR) method, which is based on “user-friendly” tabular notation, was applied to design software systems developed by David Parnas and researchers of the U.S. Naval Research Laboratory (NRL) [86]. The requirements specification in SCR tabular notation refers to a repository for all information required by developers to construct the software for a computer system. During the 1980s and 1990s, it has been used in many industrial organizations including Lockheed, Grumman, Bell laboratory and so on thanks to its scalability and cost-effectiveness. On top of that, a complete tool set for 1) creating, 2) debugging, 3) validating and 4) verifying this specification has been developed over a decade or more. By using this tool set, constructing the requirements specification for an automatic Cruise Control System (CCS) is introduced in [87], [88]. Figure 3.1 specifies this automatic system in SCR.

READ  Asymptotics of heavy-tailed risks with Gaussian copula dependence 

XML-based Specification

The XML is a subset of Standard Generalized Markup Language (SGML) [95]. It becomes a W3C recommendation language to store many different kinds of data in textual form with markup tags on Web [96]. The main contribution of this language is to represent metadata in order to exchange information across different systems. Additionally, it provides several important benefits such as extensibility, flexibility, availability of various data types, portability, and machine-readability. Thanks to its advantages, XML is widely applied to various areas. Recently, it is used as an emerging method in SoC design to represent the specification. For example, IP-XACT has been proposed by SPIRIT consortium [98] as an XML-based specification language to describe the specification of digital IPs. Similarly, Analog Specification Description in XML (ASDeX) for analog IPs has been introduced in the literature [106]. The following subsections present these XML-based specification languages in detail.

Table of contents :

1. Introduction
1.1 Motivation
1.2 Thesis Objectives
1.3 Structure of this Manuscript
2. Library Development from the Design Platform Specifications 
2.1 Introduction
2.2 System-on-Chip Design Flow
2.3 Design Platform
2.4 Library Development Flow
2.4.1 Specification Phase
2.4.2 Design Phase
2.4.3 Derivation Phase
2.4.4 Validation Phase
2.5 Existing Automatic System for Library Development
2.5.1 Specification Creation Tools
2.5.2 Circuit Design Tools
2.5.3 View Derivation Tool
2.5.4 Library Verification Tool
2.6 Example of the Development of a Standard-cell Library
2.7 Problems
2.8 Conclusion
3. State-of-the-art
3.1 Introduction
3.2 Natural Language-based Specification
3.3 Table-based Specification
3.3.1 System Requirements Specification in SCR
3.3.2 ADeVA
3.4 UML-based Specification
3.5 XML-based Specification
3.5.1 IP-XACT for Digital IPs
3.5.2 ASDeX for Analog IPs
3.6 STMicroelectronics’ Design Platform Specifications
3.7 Discussion
3.8 Conclusion
4. Methodology for Library Development Specifications
4.1 Introduction
4.2 Formalism of the Specification
4.2.1 Requirements of the Specification
4.2.2 Specification Data Analysis
4.2.3 Specification Data Classification
4.2.4 Specification Data Modeling
4.3 Reliable Specification Creation Method
4.3.1 Reference Database
4.3.2 Specification Creation using a Reference Database
4.4 Efficient Method for Data Extraction from the Specification
4.4.1 Keyword for Precise Data Identification
4.4.2 Task-based Keywords for Efficient Data Extraction
4.5 Validation of the Specification
4.6 Validation of the Library against the Specification
4.7 Conclusion
5. Specification Platform
5.1 Introduction
5.2 LDSpecX: Library Development Specification based on XML
5.3 Specification Creation Tool
5.3.1 XML-based Reference Database
5.3.2 User-friendly GUI for Specification Creation
5.4 API for Specification Data Extraction
5.4.1 Library Development Task Definition
5.4.2 Specification Data API
5.4.3 Library Verification Tool using the API
5.5 Conclusion
6. Experiments
6.1 Introduction
6.2 Library Development from the Specification
6.2.1 Specification Creation
6.2.2 Library Development from the Specification
6.3 Evaluation
6.3.1 Specification Evaluation against Five Requirements
6.3.2 Specification Data Processing
6.4 Conclusion
7. Conclusion and Perspectives
7.1 Conclusion
7.2 Perspectives
Bibliography
Publications
Résumé
1. Introduction
2. Développement d’une bibliothèque de cellules et d’IPs à partir de la spécification de la plateforme de conception
3. Etat de l’art
4. Méthodologies pour la spécification du développement de bibliothèques
5. Implémentation : Plateforme de spécification
6. Expérimentation
7. Conclusion et perspectives

GET THE COMPLETE PROJECT

Related Posts